logo
logo
x
바코드검색
BOOKPRICE.co.kr
책, 도서 가격비교 사이트
바코드검색

인기 검색어

실시간 검색어

검색가능 서점

도서목록 제공

[eBook Code] CMOS Integrated Lab-on-a-chip System for Personalized Biomedical Diagnosis

[eBook Code] CMOS Integrated Lab-on-a-chip System for Personalized Biomedical Diagnosis (eBook Code, 1st)

Hao Yu, Mei Yan, Xiwei Huang (지은이)
  |  
Wiley-IEEE Press
2018-04-04
  |  
195,920원

일반도서

검색중
서점 할인가 할인률 배송비 혜택/추가 실질최저가 구매하기
알라딘 156,730원 -20% 0원 0원 156,730원 >
yes24 로딩중
교보문고 로딩중
notice_icon 검색 결과 내에 다른 책이 포함되어 있을 수 있습니다.

중고도서

검색중
로딩중

e-Book

검색중
서점 정가 할인가 마일리지 실질최저가 구매하기
로딩중

해외직구

책 이미지

[eBook Code] CMOS Integrated Lab-on-a-chip System for Personalized Biomedical Diagnosis

책 정보

· 제목 : [eBook Code] CMOS Integrated Lab-on-a-chip System for Personalized Biomedical Diagnosis (eBook Code, 1st) 
· 분류 : 외국도서 > 기술공학 > 기술공학 > 전자공학 > 회로
· ISBN : 9781119218340
· 쪽수 : 288쪽

목차

Preface x

1 Introduction 1

1.1 Personalized Biomedical Diagnosis 1

1.1.1 Personalized Diagnosis 1

1.1.2 Conventional Biomedical Diagnostic Instruments 3

1.1.2.1 Optical Microscope 3

1.1.2.2 Flow Cytometer 4

1.1.2.3 DNA Sequencer 5

1.2 CMOS Sensor?-based Lab?-on?-a?-Chip for System Miniaturization 7

1.2.1 CMOS Sensor?-based Lab?-on?-a?-Chip 7

1.2.2 CMOS Sensor 8

1.2.2.1 CMOS Process Fundamentals 8

1.2.2.2 CMOS Sensor Technology 10

1.2.2.3 Multimodal CMOS Sensor 13

1.2.3 Microfluidics 14

1.2.3.1 Microfluidic Fundamentals 14

1.2.3.2 Microfluidics Fabrication 16

1.3 Objectives and Organization of this Book 20

1.3.1 Objectives 20

1.3.2 Organization 20

References 21

2 CMOS Sensor Design 25

2.1 Top Architecture 25

2.2 Noise Overview 25

2.2.1 Thermal Noise 26

2.2.2 Flicker Noise 27

2.2.3 Shot Noise 28

2.2.4 MOSFET Noise Model 29

2.3 Pixel Readout Circuit 29

2.3.1 Source Follower 30

2.3.2 Sub?-threshold Gm Integrator 33

2.3.3 CTIA 35

2.4 Column Amplifier 38

2.5 Column ADC 39

2.5.1 Single?-Slope ADC 39

2.5.2 Sigma?-Delta ADC 43

2.6 Correlated Sampling 49

2.6.1 Correlated Double Sampling 49

2.6.2 Correlated Multiple Sampling 51

2.7 Timing Control 52

2.7.1 Row Timing Control 52

2.7.2 Column Timing Control 55

2.8 LVDS Interface 57

References 59

3 CMOS Impedance Sensor 60

3.1 Introduction 60

3.2 CMOS Impedance Pixel 61

3.3 Readout Circuit 63

3.4 A 96 × 96 Electronic Impedance Sensing System 65

3.4.1 Top Architecture 65

3.4.2 System Implementation 67

3.4.2.1 System Setup 67

3.4.2.2 Sample Preparation 68

3.4.3 Results 68

3.4.3.1 Data Fitting for Single Cell Impedance Measurement 69

3.4.3.2 Cell and Electrode Impedance Analysis 71

3.4.3.3 EIS for Single?-Cell Impedance Enumeration 71

References 74

4 CMOS Terahertz Sensor 76

4.1 Introduction 76

4.2 CMOS THz Pixel 76

4.2.1 Differential TL?-SRR Resonator Design 76

4.2.1.1 Stacked SRR Layout 76

4.2.1.2 Comparison with Single?-ended TL?-SRR Resonator 80

4.2.1.3 Comparison with Standing?-Wave Resonator 82

4.2.2 Differential TL?-CSRR Resonator Design 83

4.3 Readout Circuit 84

4.3.1 Super?-regenerative Amplification 84

4.3.1.1 Equivalent Circuit of SRA 84

4.3.1.2 Frequency Response of SRA 86

4.3.1.3 Sensitivity of SRA 86

4.3.2 Super?-regenerative Receivers 87

4.3.2.1 Quench?-controlled Oscillation 87

4.3.2.2 SRX Design by TL?-CSRR 89

4.3.2.3 SRX Design by TL?-SRR 91

4.4 A 135 GHz Imager 94

4.4.1 135 GHz DTL?-SRR?-based Receiver 94

4.4.2 System Implementation 95

4.4.3 Results 95

4.5 Plasmonic Sensor for Circulating Tumor Cell Detection 98

4.5.1 Introduction of CTC Detection 98

4.5.2 SRR?-based Oscillator for CTC Detection 99

4.5.3 Sensitivity of SRR?-based Oscillator 101

References 103

5 CMOS Ultrasound Sensor 106

5.1 Introduction 106

5.2 CMUT Pixel 107

5.3 Readout Circuit 109

5.4 A 320 × 320 CMUT?-based Ultrasound Imaging System 110

5.4.1 Top Architecture 110

5.4.2 System Implementation 111

5.4.2.1 Process Selection 111

5.4.2.2 High Voltage Pulser 112

5.4.2.3 Low?-Noise Preamplifier and High Voltage Switch 115

5.4.3 Results 116

5.4.3.1 Simulation Results 116

5.4.3.2 Two?-channel AFE IC Measurement Results 117

5.4.3.3 Acoustic Transmission Testing with AFE IC and CMUT 121

5.4.3.4 Acoustic Pulse?-echo Testing with AFE IC and CMUT 122

References 124

6 CMOS 3?-D?-Integrated MEMS Sensor 126

6.1 Introduction 126

6.2 MEMS Sensor 127

6.3 Readout Circuit 127

6.4 A 3?-D TSV?-less Accelerometer 129

6.4.1 CMOS?-on?-MEMS Stacking 129

6.4.2 Bonding Reliability 132

6.4.2.1 Al–Au Thermo?-compression Shear Strength 132

6.4.2.2 Al–Au Thermo?-compression Hermeticity 134

6.4.3 Results 135

6.4.3.1 Standalone Validation of the Readout Circuit 135

6.4.3.2 Functionality Testing of CMOS?-on?-MEMS Chip 136

6.4.3.3 Reliability Testing of CMOS?-on?-MEMS Chip 138

References 141

7 CMOS Image Sensor 142

7.1 Introduction 142

7.2 CMOS Image Pixel 145

7.2.1 Structure 145

7.2.1.1 FSI 4 T Pixel 145

7.2.1.2 Back Side Illumination Pixel 147

7.2.1.3 Stack Pixel 148

7.2.2 Noise and Model 150

7.2.2.1 Photon Shot Noise 151

7.2.2.2 Reset Noise 152

7.2.2.3 Thermal Noise 152

7.2.2.4 Flicker Noise 154

7.2.2.5 Fixed Pattern Noise 154

7.3 Readout Circuit 155

7.3.1 Global Serial Readout 156

7.3.2 Correlated Double Sampling 156

7.4 A 3.2 Mega CMOS Image Sensor 158

7.4.1 4?-way Shared Pixel Unit 158

7.4.2 Top Architecture 159

7.4.3 System Implementation 162

7.4.4 Results 164

7.4.4.1 System Characterization 164

7.4.4.2 Digital CDS for FPN Reduction 164

7.4.4.3 Blood Cell Imaging Experiments 165

References 167

8 CMOS Dual?-mode pH?-Image Sensor 169

8.1 Introduction 169

8.2 CMOS Dual?-mode pH?-Image Pixel 170

8.3 Readout Circuit 172

8.3.1 CDS for Optical Sensing 174

8.3.2 CDS for Chemical Sensing 174

8.4 A 64 × 64 Dual?-mode pH?-Image Sensor 175

8.4.1 Top Architecture 175

8.4.2 System Implementation 177

8.4.3 Results 177

References 184

9 CMOS Dual?-mode Energy?-harvesting?-image Sensor 186

9.1 Introduction 186

9.2 CMOS EHI Pixel 187

9.3 Readout Circuit 191

9.4 A 96 × 96 EHI Sensing System 195

9.4.1 Top Architecture 195

9.4.2 System Implementation 197

9.4.3 Results 203

References 211

10 DNA Sequencing 213

10.1 Introduction 213

10.2 CMOS ISFET?-based Sequencing 213

10.2.1 Overview 213

10.2.2 ISFET?-based Sequencing Procedure 215

10.3 CMOS THz?-based Genotyping 220

10.3.1 Overview 220

10.3.2 THz?-based Genotyping Procedure 220

10.4 Beyond CMOS Nanopore Sequencing 221

10.4.1 Overview 221

10.4.2 Nanopore?-based Sequencing Procedure 223

10.5 Summary 227

References 230

11 Cell Counting 231

11.1 Introduction 231

11.2 Optofluidic Imaging System 231

11.2.1 Contact Imaging 231

11.2.2 Optofluidic Imaging System Model 232

11.2.2.1 Resolution Model 232

11.2.2.2 Dynamic Range Model 233

11.2.2.3 Implication to SR Processing 234

11.3 Super?-resolution Image Processing 234

11.3.1 Multi?-frame SR Processing 235

11.3.2 Single?-frame SR Processing 236

11.4 Machine?-learning?-based Single?-frame Super?-resolution 237

11.4.1 ELMSR 238

11.4.2 CNNSR 242

11.5 Microfluidic Cytometer for Cell Counting 245

11.5.1 Microfluidic Cytometer System 245

11.5.1.1 System Overview 245

11.5.1.2 Microfluidic Channel Fabrication 246

11.5.1.3 Microbead and Cell Sample Preparation 246

11.5.1.4 Microfluidic Cytometer Design 247

11.5.1.5 Cell Detection 248

11.5.1.6 Cell Recognition 249

11.5.1.7 Cell Counting 250

11.5.2 Results 250

11.5.2.1 Counting Performance Characterization 250

11.5.2.2 Off-Line SR Training 251

11.5.2.3 On?-line SR Testing 253

11.5.2.4 On?-line Cell Recognition and Counting 254

References 255

12 Conclusion 258

12.1 Summaries 258

12.2 Future Works 260

Index 262

이 포스팅은 쿠팡 파트너스 활동의 일환으로,
이에 따른 일정액의 수수료를 제공받습니다.
도서 DB 제공 : 알라딘 서점(www.aladin.co.kr)
최근 본 책