logo
logo
x
바코드검색
BOOKPRICE.co.kr
책, 도서 가격비교 사이트
바코드검색

인기 검색어

실시간 검색어

검색가능 서점

도서목록 제공

디지털 시스템 설계

디지털 시스템 설계

(Mylogic과 station MyPLD를 활용한)

원충상 (지은이)
  |  
내하출판사
2007-03-01
  |  
15,000원

일반도서

검색중
서점 할인가 할인률 배송비 혜택/추가 실질최저가 구매하기
알라딘 15,000원 -0% 0원 750원 14,250원 >
yes24 로딩중
교보문고 로딩중
영풍문고 로딩중
인터파크 로딩중
11st 로딩중
G마켓 로딩중
쿠팡 로딩중
쿠팡로켓 로딩중
notice_icon 검색 결과 내에 다른 책이 포함되어 있을 수 있습니다.

중고도서

검색중
로딩중

e-Book

검색중
서점 정가 할인가 마일리지 실질최저가 구매하기
로딩중

책 이미지

디지털 시스템 설계

책 정보

· 제목 : 디지털 시스템 설계 (Mylogic과 station MyPLD를 활용한)
· 분류 : 국내도서 > 대학교재/전문서적 > 공학계열 > 전기전자공학 > 반도체공학
· ISBN : 9788957171455
· 쪽수 : 236쪽

목차

제 1장 Mycad
1-1. MyCAD Introduction
1-1-1. MyCAD Tool Set
1-2. Installation Guide
1-2-1. System 요구사항
1-2-2. 설치 방법
1) MyCAD 설치 Program 실행
2) Version 선택
3) 설치 제품 선택
4) MyVHDL 설치 Directory지정
5) Program Group 지정
6) 최종 사용자 계약사항 안내
7) 설치 시작
8) MyCad 설치 완료

제 2장 Mylogic-Station
2-1. MyLogic 시작하기
2-2. 새 디자인 만들기
2-3. 라이브러리 이용 및 설정
2-4. 스키매틱 편집기 사용법
2-5. 회로도 검사(Electrical Rule Check)
1) 회로 검색 기능 실행
2) 오류수정
3) 저장하기
2-6. 시뮬레이터 사용법
2-7. 계층 구조 설계
1) 심볼 만들기
2) 심볼 포트 그리기
3) 심볼 포트 속성 편집
4) 객체 그리기
2-8. Truth Table
2-8-1. Truth Table 이란...
2-8-2. Truth Table 사용방법
2-8-3. Truth Table로 Design된 회로의 검증
2-9. State Table
2-9-1. State Table 이란...
2-9-2. State Table 사용법

제 3장 조합논리회로의 설계
3-1. 반가산기(Half Adder)의 설계
3-2. 2진 전가산기(Full adder)의 설계
3-3. 2비트 2진 가산기 설계
3-4. 4비트 가ㆍ감산기(4-bit Adder/Subtracter)의 설계
3-5. 10진-BCD 인코더 설계
3-5-1. 10진-BCD 인코더 논리 회로도
3-5-2. 10진-BCD 인코더 심볼
3-5-3. 10진-BCD 인코더의 논리 시뮬레이션
3-6. BCD-10진 디코더 설계
3-6-1. BCD-10진 디코더 논리 회로도
3-6-2. BCD-10진 디코더의 심볼
3-6-3. BCD-10진 디코더의 논리 시뮬레이션
3-7. 3과 4의 배수표현
3-7-1. 3과 4의 배수표현 진리표
3-7-2. 3과 4의 배수표현 회로도
3-7-3. 3과 4의 배수표현 논리 시뮬레이션
3-8. BCD-7-세그먼트 디코더 설계
3-8-1. BCD-7-세그먼트 진리표
3-8-2. BCD-7-세그먼트 회로도
3-8-3. BCD-7-세그먼트 논리 시뮬레이션
3-9. 4X1 멀티플렉서의 설계
3-9-1. 4X1 멀티플렉서 회로도
3-9-2. 4X1 멀티플렉서 심볼
3-9-3. 4X1 멀티플렉서의 논리 시뮬레이션
3-10. 1X4 디멀티플렉서의 설계
3-10-1. 1 X 4 디멀티플렉서 회로도
3-10-2. 1X4 디멀티플렉서 심볼
3-10-3. 1X4 디멀티플렉서의 논리 시뮬레이션

제 4장 순차회로의 설계
4-1. 래치(latch)
4-2. 플립플롭 개요
4-3. SR 플립플롭
4-4. D 플립플롭
4-5. JK 플립플롭
4-6. T 플립플롭
4-7. 비동기식 Preset, Clear 단자를 갖는 플립플롭
4-8. 플립플롭 타이밍 파라미터
4-9. 플립플롭의 설계
4-9-1. SR 래치 설계
4-9-2. SR 래치 논리 회로도
4-9-3. SR 래치의 논리 시뮬레이션
4-10. JK 플립플롭
4-10-1. JK 플립플롭의 논리 회로도
4-10-2. JK 플립플롭의 논리 시뮬레이션
4-11. D 플립플롭 설계
4-11-1. D 플립플롭 논리 회로도
4-11-2. D 플립플롭 논리 시뮬레이션
4-12. T 플립플롭 설계
4-12-1. T 플립플롭 논리 회로도
4-12-2. T 플립플롭 논리 시뮬레이션
4-12-3. 4 비트 동기식 2진 카운터 설계
4-12-4. 4 비트 동기식 2진 카운터 논리 회로도
4-12-5. 4 비트 동기식 2진 카운터 시뮬레이션 결과

제 5장 논리회로 설계응용
5-1. PLD(Programmable Logic Devices)의 개요
(1) PROM (Programmable Read-only Memory)
(2) EPROM (Erasable Programmable Read Only Memory)
(3) EEPROM (Electrically Erasable Programmable Read Only Memory)
(4) PAL (Programmable Array Logic)
(5) GAL (Generic Array Logic)
5-2. PLD(Programmable Logic Devices)의 구조
(1) PAL의 종류 및 구조
(2) GAL의 종류 및 구조
5-3. PLD 설계 방법

제 6장 MyPLD의 사용법
6-1. MyPLD
6-2. MyPLD의 설치 및 실행 방법
(1) MyPLD의 설치
(2) MyPLD를 이용한 설계 과정
(3) MyPLD의 실행 메뉴별 기능
6-3. MyPLD의 사용 예
6-3-1. 조합논리회로의 설계
(1) 3x8 디코더의 부울식(boolean equation) 작성
(2) MyPLD에 작성한 부울식을 입력
(3) 작성된 부울식 저장하기
(4) MyPLD에 소자 선택
(5) 핀 할당
(6) JEDEC 파일 생성
(7) PLD 소자에 프로그램 하기
6-3-2. 순차 회로 설계(4비트 동기식 카운터)
(1) 4비트 동기식 카운터의 부울식 작성
6-4. LOGIC LAB UNIT
6-4-1. LOGIC LAB의 사용.
6-4-2. PANEL의 기능 설명
(1) POWER 스위치
(2) BUZZER
(3) ~60Hz 출력
(4) 가변저항기 500KΩ, 10KΩ
(5) +5V 및 -5V 출력
(6) 전류계
(7) COMMON MODE 스위치
(8) LED 인디케이터
(9) Hexadecimal Number 디스플레이
(10) 전압계
(11) 펄스 출력 짹
(12) 푸쉬버튼 Logic 스위치
(13) Data 스위치
(14) 푸쉬버튼 스위치

제 7장 PLD를 이용한 설계 실험
7-1. 2비트 비교기
7-2. BCD 7-세그먼트 디코더
7-3. 2비트 가산기
7-4. Binary to ASCII 변환기
7-5. 3비트 UP/DOWN 카운터
7-6. 4비트 시프트 레지스터
7-7. 신호등 제어기(교차로) 설계

저자소개

원충상 (지은이)    정보 더보기
공학박사 국립 충주대학교 컴퓨터공학과 교수
펼치기
원충상의 다른 책 >
이 포스팅은 쿠팡 파트너스 활동의 일환으로,
이에 따른 일정액의 수수료를 제공받습니다.
도서 DB 제공 : 알라딘 서점(www.aladin.co.kr)
최근 본 책